Автор24

Информация о работе

Подробнее о работе

Страница работы

Универсальный макет для разработки цифровых фильтров с использованием цифровых процессоров

  • 77 страниц
  • 2013 год
  • 660 просмотров
  • 0 покупок
Автор работы

EkaterinaKonstantinovna

Большой опыт в написании работ, очень давно работаю на этом ресурсе, выполнила более 15000 заказов

2800 ₽

Работа будет доступна в твоём личном кабинете после покупки

Гарантия сервиса Автор24

Уникальность не ниже 50%

Фрагменты работ

Универсальный макет для разработки цифровых фильтров с использованием цифровых процессоров


Содержание

Введение
1. Литература и обзор работ, связанных с проектом
1.1. Обзор литературы
1.1.1. Зотов, В.Ю. Проектирование цифровых устройств на основе ПЛИС фирмы Xilinx в САПР WebPACK ISE
1.1.2. www.banapart.ru
1.1.2. www.fpga-clpd.ru
1.1.2. www.opencores.org
1.2. Обзор работ
1.2.3. Повышение эффективности реализации аналоговых радиотехнических устройств на базе ПЛИС
1.3. Выводы по разделу
2. Теоретическая часть
2.1. Использование ПЛИС в современной цифровой схемотехнике
2.2. Основные типы ПЛИС
2.3. Достоинства и недостатки ПЛИС
2.4. Сравнение основных производителей ПЛИС
2.5. Сравнение основных САПР для проектирования цифровых устройств на основе ПЛИС фирмы Xilink
2.6. Описание САПР XilinkISE
2.7. Методы проектирования в САПР XilinkISE
2.8. Выводы по разделу
3. Расчётно-конструкторская часть
3.1. Обоснование выбора конкретного типа ПЛИС, производителя и САПР
3.2. Разработка структурной схемы стенда
3.3. Разработка структурной схемы цифрового фильтра
3.4. Разработка функциональной схемы и выбор элементов
В качестве ЦАП выбираем MAX5852: 8-разрядный, двухканальный ЦАП с токовым выходом и скоростью обновления 165Msps.
3.5. Проектирование макета
3.6. Разработка алгоритма и программы для ПК
3.7. Выводы по разделу
4. Технологическая часть
4.1. Конструкторский расчёт печатного узла фильтра
4.1.1. Выбор и обоснование типа печатной платы
4.1.2. Выбор и обоснование технологии изготовления ПП
4.1.3. Выбор и обоснование класса точности
4.1.4. Выбор габаритных размеров и конфигурации ПП
4.1.5. Выбор материала основания ПП
4.1.6. Расчет параметров проводящего рисунка с учетом технологических погрешностей его получения
4.1.7. Расчет диаметров отверстий и контактных площадок
4.1.8. Расчет минимальной ширины проводников
4.1.9. Расчет минимальных зазоров между элементами
4.2. Выводы по разделу
5. Заключение
6. Список использованных источников
Приложение А. Исходные тексты программы.

6. Список использованных источников

1.Зотов, В.Ю. Проектирование цифровых устройств на основе ПЛИС фирмы Xilinx в САПР WebPACK ISE. М: Горячая линия-телеком, 2005.
2.Современные семейства ПЛИС фирмы Xilinx. Справочное пособие. Кузелин, Д. А. Кнышев, В. Ю. Зотов. М: Горячая линия-телеком, 2005.
3.Бибило П.Н. Синтез логических схем с использованием языка VHDL. М.: Солон-Р, 2002.
4.Суворова Е. А., Шейнин Ю. Е. Проектирование цифровых систем на VHDL. - СПб.: БХВ-Петербург. 2003.
5.Кнышев Д. А., Кузелин М. О. ПЛИС фирмы XILINX: описание структуры основных семейств. - М.: ДОДЭКА. 2001.
6.Угрюмов Е. П. Цифровая схемотехника. - СПб.: БХВ-Петербург. 2001.
7.Гурин Е. И. Программируемые логические интегральные схемы. - Пенза: Изд-во ПГУ. 2003.
8.Бродин В., Калинин А. Учебные классы микропроцессорной техники и ПЛИС. // Chep News. - 2000. - .№ 10.
9.Е.М.Парфенов, Э.Н.Камышная, В.П.Усачов. Проектирование конструкций электронной радиоаппаратуры. – М: «Радио и связь», 1989.
10."Электронные компоненты". Тенденции развития ПЛИС и их применение для цифровой обработки сигналов. C.Шипулин, Д.Губанов, В.Стешенко, В.Храпов.
11."Электронные компоненты". ПЛИС - элементная база систем управления и обработки сигналов XXI века.
12.Закревский А.Д. Логический синтез каскадных схем. Москва: Наука. 1981. 416 с.
13.Соловьев В.В. Структурные модели конечных автоматов при их реализации на ПЛИС // Chip News. Инженерная микроэлектроника. 2002. № 9. С. 4–14.
14.Соловьев В.В. Проектирование конечных автоматов на ПЛИС со структурой двух программируемых матриц // Chip News. Инженерная микроэлектроника. 2002. № 10. С. 20–24.
15.Соловьев В.В. Использование выходных макроячеек ПЛИС в качестве элементов памяти конечных автоматов // Chip News. Инженерная микроэлектроника. 2003. № 1. С. 17–23.
16.Соловьев В.В., Климович А. Использование входных буферов ПЛИС в качестве элементов памяти конечных автоматов // Chip News. Инженерная микроэлектроника. 2003. № 2. С. 30–34.
17.Введение в проектирование комбинационных схем на ПЛИС. В. Соловьев, А. Климович.
18.Угрюмов Е.П. Цифровая схемотехника. Учеб. пособие для вузов. Изд.2, БХВ-Петербург, 2004г.
19.www.wikipedia.org
20.www.banapart.ru
21.www.fpga-clpd.ru
22.www.opencores.org

Форма заказа новой работы

Не подошла эта работа?

Закажи новую работу, сделанную по твоим требованиям

Согласен с условиями политики конфиденциальности и  пользовательского соглашения

Фрагменты работ

Универсальный макет для разработки цифровых фильтров с использованием цифровых процессоров


Содержание

Введение
1. Литература и обзор работ, связанных с проектом
1.1. Обзор литературы
1.1.1. Зотов, В.Ю. Проектирование цифровых устройств на основе ПЛИС фирмы Xilinx в САПР WebPACK ISE
1.1.2. www.banapart.ru
1.1.2. www.fpga-clpd.ru
1.1.2. www.opencores.org
1.2. Обзор работ
1.2.3. Повышение эффективности реализации аналоговых радиотехнических устройств на базе ПЛИС
1.3. Выводы по разделу
2. Теоретическая часть
2.1. Использование ПЛИС в современной цифровой схемотехнике
2.2. Основные типы ПЛИС
2.3. Достоинства и недостатки ПЛИС
2.4. Сравнение основных производителей ПЛИС
2.5. Сравнение основных САПР для проектирования цифровых устройств на основе ПЛИС фирмы Xilink
2.6. Описание САПР XilinkISE
2.7. Методы проектирования в САПР XilinkISE
2.8. Выводы по разделу
3. Расчётно-конструкторская часть
3.1. Обоснование выбора конкретного типа ПЛИС, производителя и САПР
3.2. Разработка структурной схемы стенда
3.3. Разработка структурной схемы цифрового фильтра
3.4. Разработка функциональной схемы и выбор элементов
В качестве ЦАП выбираем MAX5852: 8-разрядный, двухканальный ЦАП с токовым выходом и скоростью обновления 165Msps.
3.5. Проектирование макета
3.6. Разработка алгоритма и программы для ПК
3.7. Выводы по разделу
4. Технологическая часть
4.1. Конструкторский расчёт печатного узла фильтра
4.1.1. Выбор и обоснование типа печатной платы
4.1.2. Выбор и обоснование технологии изготовления ПП
4.1.3. Выбор и обоснование класса точности
4.1.4. Выбор габаритных размеров и конфигурации ПП
4.1.5. Выбор материала основания ПП
4.1.6. Расчет параметров проводящего рисунка с учетом технологических погрешностей его получения
4.1.7. Расчет диаметров отверстий и контактных площадок
4.1.8. Расчет минимальной ширины проводников
4.1.9. Расчет минимальных зазоров между элементами
4.2. Выводы по разделу
5. Заключение
6. Список использованных источников
Приложение А. Исходные тексты программы.

6. Список использованных источников

1.Зотов, В.Ю. Проектирование цифровых устройств на основе ПЛИС фирмы Xilinx в САПР WebPACK ISE. М: Горячая линия-телеком, 2005.
2.Современные семейства ПЛИС фирмы Xilinx. Справочное пособие. Кузелин, Д. А. Кнышев, В. Ю. Зотов. М: Горячая линия-телеком, 2005.
3.Бибило П.Н. Синтез логических схем с использованием языка VHDL. М.: Солон-Р, 2002.
4.Суворова Е. А., Шейнин Ю. Е. Проектирование цифровых систем на VHDL. - СПб.: БХВ-Петербург. 2003.
5.Кнышев Д. А., Кузелин М. О. ПЛИС фирмы XILINX: описание структуры основных семейств. - М.: ДОДЭКА. 2001.
6.Угрюмов Е. П. Цифровая схемотехника. - СПб.: БХВ-Петербург. 2001.
7.Гурин Е. И. Программируемые логические интегральные схемы. - Пенза: Изд-во ПГУ. 2003.
8.Бродин В., Калинин А. Учебные классы микропроцессорной техники и ПЛИС. // Chep News. - 2000. - .№ 10.
9.Е.М.Парфенов, Э.Н.Камышная, В.П.Усачов. Проектирование конструкций электронной радиоаппаратуры. – М: «Радио и связь», 1989.
10."Электронные компоненты". Тенденции развития ПЛИС и их применение для цифровой обработки сигналов. C.Шипулин, Д.Губанов, В.Стешенко, В.Храпов.
11."Электронные компоненты". ПЛИС - элементная база систем управления и обработки сигналов XXI века.
12.Закревский А.Д. Логический синтез каскадных схем. Москва: Наука. 1981. 416 с.
13.Соловьев В.В. Структурные модели конечных автоматов при их реализации на ПЛИС // Chip News. Инженерная микроэлектроника. 2002. № 9. С. 4–14.
14.Соловьев В.В. Проектирование конечных автоматов на ПЛИС со структурой двух программируемых матриц // Chip News. Инженерная микроэлектроника. 2002. № 10. С. 20–24.
15.Соловьев В.В. Использование выходных макроячеек ПЛИС в качестве элементов памяти конечных автоматов // Chip News. Инженерная микроэлектроника. 2003. № 1. С. 17–23.
16.Соловьев В.В., Климович А. Использование входных буферов ПЛИС в качестве элементов памяти конечных автоматов // Chip News. Инженерная микроэлектроника. 2003. № 2. С. 30–34.
17.Введение в проектирование комбинационных схем на ПЛИС. В. Соловьев, А. Климович.
18.Угрюмов Е.П. Цифровая схемотехника. Учеб. пособие для вузов. Изд.2, БХВ-Петербург, 2004г.
19.www.wikipedia.org
20.www.banapart.ru
21.www.fpga-clpd.ru
22.www.opencores.org

Купить эту работу

Универсальный макет для разработки цифровых фильтров с использованием цифровых процессоров

2800 ₽

или заказать новую

Лучшие эксперты сервиса ждут твоего задания

от 3000 ₽

Гарантии Автор24

Изображения работ

Страница работы
Страница работы
Страница работы

Понравилась эта работа?

или

21 июня 2013 заказчик разместил работу

Выбранный эксперт:

Автор работы
EkaterinaKonstantinovna
4.6
Большой опыт в написании работ, очень давно работаю на этом ресурсе, выполнила более 15000 заказов
Купить эту работу vs Заказать новую
0 раз Куплено Выполняется индивидуально
Не менее 40%
Исполнитель, загружая работу в «Банк готовых работ» подтверждает, что уровень оригинальности работы составляет не менее 40%
Уникальность Выполняется индивидуально
Сразу в личном кабинете Доступность Срок 1—6 дней
2800 ₽ Цена от 3000 ₽

5 Похожих работ

Дипломная работа

Повышение эффективности и надёжности работы распределительных сетей гомельского городского РЭС

Уникальность: от 40%
Доступность: сразу
1000 ₽
Дипломная работа

Разработка электромеханического усилителя рулевого управления для троллейбуса

Уникальность: от 40%
Доступность: сразу
2000 ₽
Дипломная работа

Приемная часть канала радиосигнализации на основе многопозиционного кодирования

Уникальность: от 40%
Доступность: сразу
2800 ₽
Дипломная работа

Электроснабжение жилого городского массива

Уникальность: от 40%
Доступность: сразу
2800 ₽
Дипломная работа

Электроснабжение десятиэтажного дома с электроплитами

Уникальность: от 40%
Доступность: сразу
2800 ₽

Отзывы студентов

Отзыв Наталья Крафт об авторе EkaterinaKonstantinovna 2018-06-25
Дипломная работа

отличный специалист, грамотный профессионал своего дела

Общая оценка 5
Отзыв Мария об авторе EkaterinaKonstantinovna 2017-06-26
Дипломная работа

Благодарим автора за все выполненные дипломные работы по Электрическим станциям, сетям и системам. Профессиональное выполнение, оперативные доработки, понимание своего дела. Приятно работать!

Общая оценка 5
Отзыв ГалинаГаус об авторе EkaterinaKonstantinovna 2014-06-28
Дипломная работа

отличный автор

Общая оценка 5
Отзыв Евгения об авторе EkaterinaKonstantinovna 2016-03-26
Дипломная работа

Этот преподаватель просто нас спас, написал буквально за неделю диплом, по срокам точно день в день. Доработок не было. Спасибо огромное, рекомендую!!!! От клиента отдельное спасибо)

Общая оценка 5

другие учебные работы по предмету

Готовая работа

Курсовая работа по теме «Монтаж и капитальный ремонт асинхронных электродвигателей свыше 100 кВт»

Уникальность: от 40%
Доступность: сразу
400 ₽
Готовая работа

Радиовещательный приемник СВ диапазона

Уникальность: от 40%
Доступность: сразу
490 ₽
Готовая работа

Разработка системы связи для передачи непрерывных сообщений дискретными сигналами

Уникальность: от 40%
Доступность: сразу
350 ₽
Готовая работа

Транзистор

Уникальность: от 40%
Доступность: сразу
660 ₽
Готовая работа

Цифровой генератор синусоидальных импульсов

Уникальность: от 40%
Доступность: сразу
379 ₽
Готовая работа

разработка 8 разрядного процессора с использованием принципа схемной логики, выполняющего операцию деления чисел, представленных в двойной системе счисления , представленных в двойной системе чисел,

Уникальность: от 40%
Доступность: сразу
490 ₽
Готовая работа

Расчет выпрямителя и инвертора

Уникальность: от 40%
Доступность: сразу
660 ₽
Готовая работа

Разработка системы связи для передачи непрерывных сообщений дискретными сигналами

Уникальность: от 40%
Доступность: сразу
350 ₽
Готовая работа

Курсовой проект по электрическому приводу постоянного тока

Уникальность: от 40%
Доступность: сразу
300 ₽
Готовая работа

МИКРОКОНТРОЛЛЕР, МК-СИСТЕМА, ОПРОС ДАТЧИКОВ, ЭЛЕКТРОПРИБОР, ПУЛЬТ УПРАВЛЕНИЯ

Уникальность: от 40%
Доступность: сразу
500 ₽
Готовая работа

Цифровой пид-регулятор температуры

Уникальность: от 40%
Доступность: сразу
660 ₽
Готовая работа

Анализ ARC-цепи и расчёт LC-фильтра

Уникальность: от 40%
Доступность: сразу
660 ₽